Immersionslithografie

Erweiterte Suche

(Weitergeleitet von Immersions-Lithografie)
Bei der Immersionlithographie durchquert das Licht von oben ein System von Linsen (1.) und einen dünnen Flüssigkeitsfilm (2.; hier Wasser), bevor es den Fotolack auf der Oberseite des Wafers (3.) erreicht.

Die Immersionslithografie ist eine Technik im Produktionsprozess der Mikroelektronik zur schärferen Abbildung bei der fotolithografischen Strukturierung. Die Technik nutzt dabei dasselbe Prinzip wie die Immersionsmikroskopie, sie dient aber nicht der Betrachtung eines Objekts, sondern der verkleinerten Projektion einer auf einer Fotomaske vorhandenen Struktur in eine Fotolackschicht, vgl. Fotolithografie (Halbleitertechnik). Es handelt sich dabei um eine Verbesserung der Projektionsbelichtung, bei der im Spalt zwischen der letzten Linse und der Wafer-Oberfläche die Luft durch eine sogenannte Immersionsflüssigkeit mit möglichst hohem Brechungsindex ersetzt wird. Dies erlaubt im Vergleich zu bauähnlichen Anlagen ohne Immersionsmedium die Herstellung von kleineren Strukturen, da eine größere numerische Apertur (NA) und Abbildungstiefe (engl. depth of focus, DOF) erreicht wird.

Derzeit (Stand 2012) ist die Immersionslithografie die einzige Technik, die es erlaubt, Strukturen von minimal 28 nm in der industriellen Massenproduktion zu fertigen und stellt damit eine Schlüsseltechnik für die Herstellung heutiger Spitzenprodukte der Mikroelektronik wie Hauptprozessoren von Computern, Smartphones usw. dar. Die Einführung der Immersionslithografie ermöglichte es, bestehende Lithografiesysteme (Kombination aus Linsensystem, Fotomasken, Fotolacke usw.) auf Basis von ArF-Excimerlasern – auch 193-nm-Lithografie genannt, da der ArF-Excimerlaser Licht mit der Wellenlänge 193 nm emittiert – weiterhin zu nutzen und dennoch kleinere Strukturen zu fertigen. Damit konnte die Einführung kostenintensiver und noch nicht für die industrielle Massenproduktion tauglicher Alternativen, wie die EUV- oder Elektronenstrahllithografie, vorerst weiter verschoben werden. In der Evolution der Lithografiesysteme ist es nach derzeitigem Wissen das letzte, das auf Lichtbrechung basiert.

Geschichte

Die Verbesserung der Auflösung optischer Systeme durch den Einsatz von Immersionsflüssigkeiten ist seit langer Zeit aus dem Bereich der Mikroskopie bekannt, vgl. Immersion (Mikroskopie). Der im Vergleich zu Luft größere Brechungsindex (n > nLuft ≈ 1) bewirkt eine Vergrößerung der numerischen Apertur von optischen Systemen und somit größere Abbildungstiefe sowie eine Verbesserung des Auflösungsvermögens. Der Einsatz von Immersionstechniken in der Fotolithografie wurde erstmals Ende der 1980er Jahre erwogen[1] und an einem Immersionsmikroskop gezeigt[2], Ende der 1990er für moderne Lacksysteme[2] demonstriert und seit Anfang der 2000er Jahre dessen technische Umsetzung stärker verfolgt. Ausgangspunkt war die Erkenntnis, dass die konventionellen „trockenen“ Lithografiesysteme, das heißt konventionelle Systeme ohne Immersionsmedium, in absehbarer Zeit nicht mehr in der Lage sein werden, die benötigten Auflösungen bereitzustellen. In diesem Zusammenhang war die Immersionslithografie ein aussichtsreicher Kandidat, um auch weiterhin die Skalierung von mikroelektronischen Schaltkreisen fortzuführen.

Erste Demonstrationsversuche mit der Immersionslithografie wurden in den folgenden Jahren (2003/2004) für HeCd-, Nd:YAG- und ArF-Lasersysteme (entsprechend den Wellenlängen 442 nm, 213 nm bzw. 193 nm) vorgestellt.[3] Zum damaligen Zeitpunkt wurden „trockene“ ArF-Scanner mit 193 nm Wellenlänge (193-nm-Lithografie) bereits in der industriellen Produktion eingesetzt und auch die Entwicklung von Immersions-ArF-Scannern (auch 193i-Lithografie genannt) kam schnell voran. Dazu trugen unter anderem die relativ geringen technischen Anpassungen bei, die notwendig waren, um bestehende „trockene“ Systeme in Immersionssysteme umzubauen. Dies erforderte zwar eine Teilneukonstruktion entsprechender Anlagen, der Aufwand war jedoch deutlich geringer als die Einführung von Fluor-Excimerlasersystemen (157 nm Wellenlänge) oder alternativer Verfahren wie der EUV-, Röntgen- oder Elektronenstrahllithografie, da bei diesen die bisher verwendeten Fotolacke und Laseroptiken nicht mehr genutzt werden können. So wurde bereits 2004 der erste für die Massenproduktion taugliche 193i-Scanner (ASML AT1150i, NA = 0,75) an das Albany NanoTech geliefert.[4] Der Einsatz der Immersionsscanner, bereits mit der für Wasser praktisch maximal erreichbaren NA von 1,3–1,35[5], begann mit der Einführung des 55-nm-Technologieknotens durch mehrere Hersteller von Flash-Speichern.[3] Die Kosten für industrielle Immersionslithografiesysteme sind stark abhängig vom Hersteller, sie betrugen 2010 ca. 30 Mio. USD für Nikons NSR-S620D-Anlagen[6] und 55 Mio. USD für ASMLs TwinScan-NXT:1950i-Anlagen.[7][8]

Hintergrund und Funktionsweise

Der Einsatz eines Immersionsmediums erlaubt eine höhere numerische Apertur (NA) und damit eine Verbesserung des Auflösungsvermögens. Im Beispiel mit realen Brechzahlwerten kann mit Wasser ein Einfallswinkel von 55° auf die Grenzfläche Linse/Wasser realisiert werden, bei der Verwendung von Luft liegt praktisch erreichbare Grenze bereits bei ca. 35°

Um die Funktionsweise einer Immersionslithografieanlage zu verstehen, sind zunächst ein paar Grundlagen für die Bestimmung des Auflösungsvermögens eines optischen Systems notwendig. Die minimal erreichbare Auflösung $ R $ eines optischen Systems kann durch folgende Gleichung beschrieben werden:

$ R=k_{1}{\frac {\lambda _{0}}{n\cdot \sin \theta }}=k_{1}{\frac {\lambda _{0}}{\text{NA}}} $

mit dem Auflösungskoeffizent $ k_{1} $ (eine Prozesskonstante), der Vakuum-Wellenlänge des für die Belichtung genutzten Laserlichts $ \lambda _{0} $, dem Brechungsindex des einfallenden Mediums $ n $ und dem Öffnungswinkel der Linse $ \theta $. Das Produkt $ n\cdot \sin \theta $ wird auch als numerische Apertur (NA) der Abbildungslinse bezeichnet.

Der Koeffizient $ k_{1} $[9] ist abhängig vom genutzten Belichtungsprozess und wird über die Belichtungsbedingungen, die Maskentechnik und die Eigenschaften des Fotolacks bestimmt. Dieser Wert konnte durch zahlreiche Verbesserungen (Lackchemie usw.) in den letzten Jahrzehnten von ca. 0,8 bis auf 0,38 gesenkt und damit das Auflösungsvermögen der Fotolithografienanlagen verbessert werden.[3] Darüber hinaus wurden in der Vergangenheit die minimale Auflösung durch die Reduzierung der Belichtungswellenlänge (von den Emissionslinien h und i einer Quecksilberdampflampe über KrF-Excimerlaser zu ArF-Excimerlasern, also von 434 nm, 365 nm, 248 nm bis hin zu 193 nm), der Verbesserung der Fotolackeigenschaften oder den Einsatz neuer Belichtungstechniken, z. B. Schrägbelichtung, erreicht.[3] Dieser Trend konnte nach der Einführung der ArF-Excimerlaser nicht mehr fortgesetzt werden, da eine weitere Reduzierung der Wellenlänge (F2-Excimerlaser, 157 nm) an neue Grenzen stößt. Haupthindernis ist, dass in diesem Spektralbereich viele der bisher verwendeten Materialien (Linsen, Fotolacke, Fotomaske, Pellikel usw.) nicht mehr ausreichend transparent sind. Die Entwicklung einer 157-nm-Fotolithografie verlangt daher nach der Suche und Einführung neuer Materialien mit hinreichend guten Eigenschaften. Diese Suche sowie die verhältnismäßig umfangreichen Änderungen an den bestehenden Anlagenkonzepten sind jedoch aufwendig und daher kostenintensiv. Aus diesem Grund wurde nach alternativen Verfahren, z. B. Elektronenstrahllithografie, sowie weiteren „technischen Tricks“ gesucht, mit denen ein besseres Auflösungsvermögen im industriellen Maßstab erzielt werden kann.

Einer dieser „technischen Tricks“ ist die Änderung der numerischen Apertur des Systems – weitere sind beispielsweise die Mehrfachstrukturierungen –, denn die Fähigkeit eines optischen Systems, Strukturen abzubilden, ist indirekt proportional zur numerischen Apertur der bildgebenden Geräte (vergleiche Formel oben). Die NA beträgt bei „trockenen“ Fotolithografiesystemen maximal 1 – theoretischer Grenzwert durch die Brechzahl von Luft, reale Spitzenwerte liegen bei ca. 0,95. Da es unmöglich ist, eine Auflösung durch stärkere Brechung (an der Linse) weiter zu erhöhen, kann eine Erhöhung der NA nur durch den Austausch des Kopplungsmediums, der Luft (nLuft ≈ 1), zwischen der letzten Linse (derzeit meist aus Quarz) und dem mit Fotolack beschichteten Wafer durch ein Immersionsmedium mit höherem Brechungsindex erreicht werden. Diese Änderung hat einen sehr wirkungsvollen Effekt auf das Auflösungsvermögen, da die Wellenlänge des Lasers (im Vakuum 193 nm) in Wasser bei ca. 134 nm liegt.

Schematische Darstellung des „Strahlkegels“ bei gleicher Abbildungstiefe (gleicher Einfallswinkel im Fotolack). Es ist zu sehen, dass für eine gleiche Abbildungstiefe ein geringerer Einfallswinkel aus dem Immersionsmedium notwendig ist.

Ein Weiterer wichtiger Parameter in der Fotolithografie ist die Abbildungstiefe (engl. depth of focus, DOF). Sie kennzeichnet den Bereich (in Richtung der optischen Achse des Belichtungssystems), in dem der Fokus für eine scharfe Abbildung ausreichend ist. Da eine erfolgreiche Belichtung über die gesamte Dicke der Fotolackschicht erfolgen soll, muss die Abbildungstiefe daher ausreichend groß für die verwendete Fotolackschichtdicke und Unebenheiten der Waferoberfläche sein. Andernfalls kommt es zu Abbildungsproblemen, beispielsweise dass ober- oder unterhalb der Bildebene die entwickelten Strukturen deutlich kleiner sind und somit eine Art „Überhang“ bzw. eine nicht richtig geöffnete Maske die Folge wäre.

Ähnlich wie das Auflösungsvermögen ist auch die Abbildungstiefe abhängig von der verwendeten Wellenlänge, der eingesetzten numerischen Apertur und dem Medium zwischen Linse und Fotolack. Für ein Projektionssystem lässt sich die Abbildungstiefe allgemein berechnen durch (für genauere Informationen zur Berechnung für Systeme mit normale oder hoher numerischer Apertur, wird auf die Literatur[10][11] verwiesen):

$ {\text{DOF}}={\frac {k_{2}}{2}}\cdot {\frac {\lambda _{0}}{n\cdot \left(1-{\sqrt {1-{\frac {{\text{NA}}^{2}}{n^{2}}}}}\right)}} $

Für kleine Winkel $ \theta $ kann dies vereinfacht werden zu:

$ {\text{DOF}}=\propto {\frac {n\cdot \lambda _{0}}{{\text{NA}}^{2}}} $

Es lässt sich erkennen, dass bei gleicher Wellenlänge und gleichem Medium, die Abbildungstiefe mit steigender numerischer Apertur sinkt und war bei bisherigen Anpassungen der Lithografiesysteme über die eingesetzte Wellenlänge ein notwendiger Kompromiss. Physikalisch kann dieser Effekt vereinfacht so erklärt werden, dass mit zunehmender NA die Komponenten der Ortsfrequenz in z-Richtung schnell aus der Phase kommen und somit verschmieren, wenn man sich von der Fokusebene entfernt.

Zusammenfassend kann man sagen, dass der Einsatz eines Immersionsmediums die Abbildungseigenschaften eines Immersionssystems im Vergleich zu einem gleichartigen trockenen System deutlich verbessert. So kann bereits mit hochreinem Wasser (Brechungsindex von 1,47 bei einer Wellenlänge von 193 nm) als Immersionsmedium, eine Verbesserung der Auflösung um ca. 30–40 % (je nach verwendeten Materialien) erreicht werden. Im Vergleich dazu würde ein Umstieg von ArF- auf F2-Ecimerlaser eine nur knapp 19 % ermöglichen. Die Einführung dieser alternativen Techniken würde jedoch vollständig unterentwickelte Lack- und Optiksysteme erfordern, so dass die Einführung der Immersionslithografie einen verhältnismäßig geringen technischen Aufwand benötigt. Neben der Auflösung verbessert sich auch die Abbildungstiefe bzw. Toleranz in der Ebenheit der Wafer-Topographie ungefähr um den Faktor 2 (für Systeme mit gleicher NA). Dennoch ist die optische Abbildung der Strukturen auf einer Fotomaske in eine Fotolackschicht immer ein Kompromiss aus Auflösungsvermögen und Abbildungstiefe ist, so dass beide Vorteile der Immersionslithografie nie gleichzeitig ausgenutzt werden können.

Technischer Aufbau

Schematischer Aufbau eines Immersionslithografiesystems mit lokaler Benetzung durch Wasser als Immersionsmedium zwischen der letzten Linse und dem Wafer. Die Position des Wassers unter der Linse wird dabei durch eine kontinuierliche Strömung und einem Luftschleier am Rand gehalten.

Der technische Aufbau moderner Immersionslithografieanlagen entspricht im Wesentlichen dem trockener Fotolithografiesysteme auf Basis von ArF-Excimerlasern (193 nm). Dazu gehören die Laserquelle, ein Kondensorlinsensystem, Maskenhalterung, die Fokussierungsoptik sowie der Probentisch, auf dem der Wafer platziert wird. Der wesentliche Unterschied zu trockenen Lithografiesystemen ist, dass bei der Immersionslithografie eine Immersionsflüssigkeit zwischen dem Wafer und der letzten Linse des Linsensystems gehalten werden muss. Für die technische Umsetzung dieser Aufgabe gibt es zwei grundlegende Ansätze: die Benetzung des gesamten Wafers (engl. stage immersion, also das Eintauchen des Wafers in die Flüssigkeit, oder wafer immersion) oder die lokale Benetzung mit der Immersionsflüssigkeit (engl. local delivery).

Heutige Anlagen nutzen ausschließlich die lokale Benetzung, da sie aufgrund kürzerer Benetzungs- und Entfernungszeiten deutlich schneller arbeiten können. Dazu wird in der Regel ein Wasserreservoir in der Umgebung der Linse aufgebaut. Der zugehörige Adapter (im Englischen oft als showerhead, dt. ‚Duschkopf‘, bezeichnet), der nur wenige Mikrometer über dem Wafer schwebt, hält dieses Reservoir während der Belichtung stabil und ermöglicht sowohl eine schnelle Benetzung als auch eine schnelle Entfernung der Flüssigkeit. Damit die Flüssigkeit nicht seitlich abfließen kann oder mit der Waferbewegung auf dem Wafer verbleibt, wird am Rand des Adapters ein feiner Luftschleier (engl. air curtain) aufgebaut.

Änderung des Benetzungsverhaltens der Immersionsflüssigkeit mit zunehmender Relativgeschwindigkeit zwischen Probe und Düse. Zu sehen ist die Ausbildung unterschiedlicher Benetzungswinkel in und gegen die Bewegungsrichtung.

Für die Stabilität des Flüssigkeitsreservoirs sind weiterhin die Scan-Geschwindigkeit, mit der ein Wafer relativ zum Linsensystem bewegt wird, und die dynamischen Kontaktwinkel (Be- und Entnetzungswinkel, auch Fortschreit- und Rückzugsrandwinkel genannt) wichtig. Sie beeinflussen unter anderem den möglichen Flüssigkeitsverlust durch Wegziehen des Flüssigkeitsfilms oder Instabilitäten bei der Benetzung. Bei Flüssigkeitsverlusten durch einen weggezogenen Tropfen handelt es sich um Verluste durch einen dünnen Flüssigkeitsfilm hinter dem Immersionsadapter. Sie werden häufig bei niedrigen Geschwindigkeiten und Systemen mit sehr niedrigem Entnetzungswinkel beobachtet, das heißt im Fall von Wasser bei hydrophilen Oberflächen. Im anderen Fall treten Flüssigkeitsverluste auf, wenn die Oberflächenspannung nicht ausreicht, um die Trägheitskräfte (aufgrund des zurückweichenden Meniskus) zu überwinden. Diese Fehler treten vor allem bei hohen Geschwindigkeiten und höheren Benetzungswinkeln auf, im Fall von Wasser bei hydrophoberen Oberflächen. Für typische Scan-Geschwindigkeiten von 500 mm/s – für bis zu 150 Wafer pro Stunde und Anlage – sollte der Entnetzungswinkel im Bereich 70° und höher liegen. Typische „trockene“ 193-nm-Fotolacke haben Entnetzungswinkel im Bereich von 43 bis 53°. Aus diesen und anderen Gründen, z. B. Schutz der Fotolackschicht vor chemischen Angriffen (vgl. unten), werden entweder andere Fotolacke oder hydrophobere Schutzschichten auf der Fotolackoberseite genutzt.[3]

Immersionsmedium

Hochreines Wasser (nWasser, 193 nm ≈ 1,47) ist seit Beginn der Forschung die hauptsächlich verwendete Immersionsflüssigkeit. Es ist auch das bislang einzige Immersionsmedium, das in der industriellen Produktion zum Einsatz kommt. Neben Wasser (1. Generation) sind derzeit auch noch weitere Flüssigkeiten mit höherem Brechungsindex (1,65–1,8) in der Erforschung. Zu diesen Immersionsflüssigkeiten der zweiten Generation gehören homogene organische Flüssigkeiten, beispielsweise Perfluorpolyether (PFPE) oder Cycloalkane. Ein Brechungsindex im Bereich um 1,65, entspräche nochmals einer Steigerung des Auflösungsvermögens gegenüber Wasser von ca. 14 %. Ähnlich wie bei den Linsenmaterialien ist auch bei den Immersionsflüssigkeiten eine niedrige Temperaturabhängigkeit des Brechungsindexes (auch als thermo-optischer Koeffizient dn/dT bezeichnet) und ein niedriger Absorptionskoeffizient (α < 0,15 cm−1) im UV-Bereich wichtig. Andernfalls kommt es zu Intensitätsunterschieden zwischen den Strahlanteilen in Abhängigkeit von der Wegstrecke im Immersionsmedium sowie zu größeren Änderungen im Fokus bei längerem Betrieb aufgrund von Temperatur- und den damit verbundenen Brechzahländerungen. Zusätzlich können Absorptionen durch das Immersionsmedium auch zu Strahlenschäden (Zersetzung, chemische Reaktionen mit dem Fotolack bis hin zur Blasenbildung) desselben führen. Diese Effekte wirken sich negativ auf den Gesamtprozess aus und sind nur in gewissen Grenzen korrigierbar. Weitere wichtige Eigenschaften sind daher auch eine hohe Wärmekapazität und eine gute Wärmeleitfähigkeit. Viele organische Flüssigkeiten weisen jedoch durch ungebundene Elektronen oder Elektronen in π-Molekülorbitalen hohe Absorptionskoeffizienten bei einer Wellenlänge von 193 und 157 nm auf. Die Suche nach passenden Materialien gestaltet sich daher schwierig. Zu beachten ist bei den Immersionsflüssigkeiten der zweiten Generation, dass ihr Brechungsindex im Bereich des Brechungsindex heutiger Fotolacke (ca. 1,75) liegen kann. Ihr Einsatz erfordert daher die Entwicklung neue Fotolacke mit einem Brechungsindex von bis zu 1,9.[3]

Um noch höhere Brechungsindizes zu erzielen und so zu möglichen Materialien für hochbrechende Linsen wie Lutetium-Aluminium-Granat (LuAG, Brechungsindex 2,14 bei 193 nm) aufzuschließen, müssen andere Lösungen gefunden werden, denn es sind keine homogenen Flüssigkeiten mit Brechungsindizes über 1,8 bekannt. Daher erforscht man derzeit die dritte Generation von Immersionsflüssigkeiten, bei denen es sich um Suspensionen von Nanopartikeln (z. B. aus 5 nm großen sphärischen Partikeln aus LuAG oder Zirconium(IV)-oxid) von hochbrechenden Materialien in organischen Flüssigkeiten handelt.[12] Insgesamt ist der Gewinn hinsichtlich der Erhöhung der numerischen Apertur durch hochbrechende Immersionsflüssigkeiten (2. und 3. Generation) verhältnismäßig gering und die Forschung war bereits im Jahr 2008 hinter den eigentlichen Zeitplan der Industrie zurückgefallen.[13] Ihr industrieller Einsatz ist daher ungewiss.

Probleme beim Einsatz in der Massenproduktion

Generell wird in der Halbleitertechnik eine neue Technik nur dann in der Fertigung eingesetzt, wenn die Defektdichte ein Niveau erreicht hat, das vergleichbar mit ähnlichen Techniken ist, in diesem Fall der „trockenen“ Lithographie. Daher zählten Defekte und anderen möglichen Quellen für eine verringerte Ausbeute (englisch yield) von Mikrochips auf einem Wafer als größte Hindernisse für die Nutzung der Immersionslithografie in der Massenproduktion. Frühe Studien konzentrierten sich auf die Beseitigung von Blasen, Temperatur- und Druckschwankungen in der Immersionsflüssigkeit sowie die Absorption der Flüssigkeit durch den Fotolack.[14] Immanente Defekte[15] der Immersionslithografie und die Partikelerzeugung durch die Wasserausgabeeinheit (eine häufige Defektquelle) wurden identifiziert.

Des Weiteren hat sich gezeigt, dass Wasser sowohl Teile des Fotoinitiators – ein fotoaktiver Stoff, der bei der Belichtung eine Fotosäure bildet (engl. photoacid generators, PAGs) – als auch die Fotosäure selbst – in der Regel eine Carbonsäure, die die Entwicklungsrate um 1 bis 2 Größenordnungen erhöht – aus dem Fotolack extrahiert.[16] Dieser Übergang der beiden Stoffe aus dem Fotolack in das Immersionsmedium Wasser muss verhindert werden, da zum einen eine Schädigung der Objektivlinse durch die Säure oder durch Verunreinigungen vermieden werden muss und zum anderen die Ausdiffusion einen negativen Einfluss auf die Fotolackentwicklung hat. Darüber hinaus ist bekannt, dass das eingesetzte UV-Licht mit einer Wellenlänge von 193 nm Wasser ionisieren kann.[17] Bei dieser Ionisation entstehen solvatisierte Elektronen, die sich im Fotolack ausbreiten, mit diesem reagieren und so die Auflösungsleistung negativ beeinflussen.

Die oben genannten Bedenken hinsichtlich möglicher Defekte haben zu Überlegungen über den Einsatz einer Deckschicht direkt auf dem Fotolack geführt. Diese Deckschicht verhindert die Diffusion von Wasser und PAG zwischen dem flüssigen Medium und dem Fotolack. Darüber hinaus wurde die Grenzfläche zwischen der Flüssigkeit und der Deckschicht hinsichtlich der Reduzierung von Wasserzeichen optimiert. Beim Einsatz einer Deckschicht muss natürlich eine mögliche Defekterzeugung durch die Deckschicht ausgeschlossen oder zumindest vorgebeugt werden.

Bei Scan-Geschwindigkeiten moderner Scanner in der Hochvolumenproduktion von ungefähr 500 mm/s ist die tatsächliche Fotolack-Wasser-Kontaktzeit im Bereich der Belichtung minimal. Daraus ergeben sich folgende Hauptprobleme: zum einen Defekte durch Wasserreste (Bildung von Wasserzeichen) und zum anderen ein Verlust der Fotolack-Wasser-Haftung (Bildung eines Luftspalts). Dabei sind die Hydrophobie der Oberfläche und die Art der Wasserzuführung bzw. -abführung die wichtigsten Aspekte, die bei der technischen Umsetzung beachtet werden müssen. Ein weiterer Bereich, in dem Defekte verstärkt auftreten können, ist der Scheibenrand, wo das Wasser eine „Kehrtwende“ (Rücklauf) macht und dabei Defekte (Partikel) vom Waferrand und der Waferrückseite aufnehmen kann.

Aktueller und zukünftiger Einsatz

Polarisationseffekte bei der Immersionslithografie. Für Abstände, bei denen die Immersionslithografie relevant ist, beeinflusst die Polarisation des eingesetzten Lichts die Intensität innerhalb des Fotolacks. Dieses Beispiel ist für einen Half-Pitch von 56 nm.

ASML (mit Optiken der Carl Zeiss SMT GmbH[18]), Nikon und Canon sind derzeit die einzigen kommerziellen Anbieter von Immersionslithografiesystemen für die Produktion. Seit 2007 werden die Systeme von größeren Halbleiterunternehmen in der Fertigung von Produkten 45-nm-Technik und darunter eingesetzt, wie IBM, Globalfoundries (die ehemalige Fertigungssparte von AMD), UMC, Toshiba und Texas Instruments. Entsprechende Produkte sind beispielsweise bei AMD Athlon II und Fusion. Intel begann hingegen erst im Jahr 2009, die Immersionslithografie für seine Produkte in 32-nm-Technik einzusetzen, beispielsweise Intel Core i7 (Westmere).[19] Auch die Fertigung von 22-nm-Produkten und darunter wird mit hoher Wahrscheinlichkeit noch mittels Immersionslithografie für die kritischen Ebenen im Middle-of-Line erfolgen.[20][21][22] Dies ist allerdings davon abhängig, wie schnell sich alternative Verfahren wie die EUV- oder Elektronenstrahllithografie hinsichtlich ihrer industriellen Einsetzbarkeit entwickeln bzw. ob diese überhaupt bereitstehen.[23]

Derzeit wird untersucht, welche Verbesserungen notwendig sind, damit die Technik auch nach dem 32-nm-Knoten eingesetzt werden kann. Dazu gehören unter anderem der Einsatz von Materialien mit einem höheren Brechungsindex als die der derzeit eingesetzten Materialien. Dies betrifft zum einen die letzte Linse (Objektivlinse), bei der über den Einsatz von Lutetium-Aluminium-Granat (LuAG, Brechungsindex von 2,14 bei 193 nm) statt Siliciumdioxid nachgedacht wird, und zum anderen die Immersionsflüssigkeit (Ersatz von Wasser) sowie den Fotolack selbst. Der Grund hierfür ist, dass der niedrigste Brechungsindex dieser drei Komponenten die numerische Apertur des Abbildungssystems maßgeblich bestimmt. Dabei kann mit höher brechenden Materialien eine höhere numerische Apertur und somit eine bessere Auflösung kleiner Strukturierung erreicht werden. Diese neuen Entwicklungen erlauben eine optische Auflösung von ungefähr 30 nm. Es ist jedoch zu erwarten, dass unterhalb von 40 nm aktuelle Fotolacke eine weitere Skalierung behindern.[24] Weitere Probleme sind Polarisationseffekte[25], Doppelbrechung und temperaturabhängige Dispersion im optischen System.

Der Einsatz der Immersionslithografie mithilfe eines F2-Excimerlasers (157,67 nm) könnte höhere Auslösungen ohne den Einsatz hochbrechender Materialien ermöglichen. Die Umsetzung der 157-nm-Lithografie, die Mitte der 2000er Jahre noch als hoffnungsvoller Kandidat für den Ersatz damaliger trockener 193-nm-Anlagen angesehen wurde, gestaltete sich aber bislang schwieriger als gedacht. Als Herausforderungen bei der Technologieentwicklung stellten sich unter anderem die Suche nach neuen Fotolacken und Linsenmaterialien. Die Suche nach neuen und für die 157-nm-Technik passenden Fotolacken wird vor allem durch das Absorptionsverhalten typischerweise eingesetzter organischer Moleküle behindert. So absorbieren Phenol- und Carbonsäuregruppen sehr gut UV-Licht mit einer Wellenlänge von 157 nm, so dass das Licht nicht ausreichend tief in die Fotolackschicht eindringen kann. Dasselbe Problem besteht bei (hochbrechenden) Materialien für Linsen und Immersionsflüssigkeiten. So liegt die Wellenlänge der 157-nm-UV-Strahlung in der Nähe des Bandabstands typischer Linsenmaterialien. Dies hat unter anderem einen für transparente Optiken vergleichsweise hohen Absorptionskoeffizienten (Imaginärteil der komplexen Brechzahl) und damit eine stärkeren Erwärmung im Betrieb zur Folge, was sich wiederum negativ auf das optische System und die Abbildungsgenauigkeit auswirkt. Bei hochbrechenden Immersionsflüssigkeiten wirkt sich dies noch stärker aus. So steigt beispielsweise für die oft präferierten Perfluorpolyether (PFPE) – PFPE sind ausreichend chemisch innert, nichtgiftig, nichtkorrodierend und weitgehend reinraumtauglich[26] – der Absorptionskoeffizient von 0,1–0,6 cm−1 bei 193 nm auf 6–19 cm−1 bei 157 nm.[27] Einige wenige PFPE bieten jedoch einen ausreichend geringen Absorptionskoeffizient von unter 1 cm−1 bei 157 nm.[26] Jedoch steigt bei diesen PFPE der Absoptionskoeffizent bei höheren Strahlungsdosen deutlich an, das heißt, sie sind nicht widerstandsfähig genug gegenüber dem Laserlicht.[26] Daher gilt bislang, dass für den industriellen Einsatz bislang keine passenden Materialien zur Verfügung stehen.[3]

Auf der anderen Seite ermöglichen Techniken wie die Nutzung eines regelmäßigen Layouts (engl. layout regularity) sowie Double-Exposure- oder das Double-Patterning-Verfahren (Doppelstrukturierung) eine Verkleinerung der Half-Pitch-Auflösung um einen Faktor von 2. Dadurch könnte die Immersionslithografie auch über die 32-nm-Technologie hinaus genutzt werden, möglicherweise bis zum 16-nm-Technologieknoten. Während das Double-Patterning-Verfahren die Pitch-Auflösung verbessert, liegt es an nicht-lithographischen Methoden, tatsächlich eine Reduzierung der Strukturgröße zu erreichen. Problematisch wird bei solch geringen Strukturgrößen (unterhalb von 32 nm) hingegen der Einsatz von Methoden der optical proximity correction (OPC, deutsch etwa: ‚optische Nahbereichskorrektur‘). Denn für größere Zusatzstrukturen zur Verbesserung der Abbildungsqualität, wie hammerheads (dt. ‚Hammerköpfe‘), ist schlicht nicht mehr genug Platz auf der Fotomaske.

Literatur

  •  Yayi Wei, Robert L. Brainard: Advanced Processes for 193-nm Immersion Lithography. SPIE Press, 2009, ISBN 978-0819475572.
  •  Burn J. Lin: Optical Lithography: Here Is Why. SPIE Press, 2009, ISBN 978-0819475602.

Weblinks

Einzelnachweise

  1.  Burn J. Lin: The future of subhalf-micrometer optical lithography. In: Microelectronic Engineering. 6, Nr. 1–4, 1987, S. 31–51, doi:10.1016/0167-9317(87)90015-3.
  2. 2,0 2,1  Burn J. Lin: Optical Lithography: Here Is Why. SPIE Press, 2009, ISBN 978-0819475602, S. 325.
  3. 3,0 3,1 3,2 3,3 3,4 3,5 3,6  Daniel P. Sanders: Advances in Patterning Materials for 193 nm Immersion Lithography. In: Chemical Reviews. 110, Nr. 1, 2010, S. 321–360, doi:10.1021/cr900244n.
  4. Yayi Wei, David Back: 193nm immersion lithography: Status and challenges. In: SPIE Newsroom. 22. März 2007, abgerufen am 22. Januar 2012 (DOI:10.1117/2.1200703.0001).
  5.  Yayi Wei, Robert L. Brainard: Advanced Processes for 193-nm Immersion Lithography. SPIE Press, 2009, ISBN 978-0819475572, S. 7.
  6.  Kazuhiro Hirano, Yuichi Shibazaki, Masato Hamatani, Jun Ishikawa, Yasuhiro Iriuchijima: Latest results from the Nikon NSR-S620 double patterning immersion scanner. Taipei, Taiwan 2009, S. 75200Z-75200Z-12, doi:10.1117/12.837037 (Enthält ein paar interessante Grafiken zum prinzipiellen Aufbau solcher Lithografiesysteme).
  7. TwinScan NXT:1950i. ASML, abgerufen am 5. September 2011 (Produktseite).
  8. Mark LaPedus: Inside Intel's litho strategy at 22-nm. EE times, 18. März 2010, abgerufen am 5. September 2011.
  9.  Burn J. Lin: Optical Lithography: Here Is Why. SPIE Press, 2009, ISBN 978-0819475602 (Chapter 4: The Metrics of Lithography).
  10.  Burn J. Lin: Optical Lithography: Here Is Why. SPIE Press, 2009, ISBN 978-0819475602.
  11.  Yayi Wei, Robert L. Brainard: Advanced Processes for 193-nm Immersion Lithography. SPIE Press, 2009, ISBN 978-0819475572.
  12. S. Jahromi, L. Bremer, R. Tuinier S. Liebregts: Development of Third Generation Immersion Fluids Based on Dispersion of Nanoparticles. In: 5th international Symposium on immersion lithography extensions. 22.–25. September 2009.
  13. Soichi Owa, Toshikazu Umatate: High Index Lithography (HIL) Progress and Plans. 2008 SEMATECH Litho Forum, Bolton Landing 14. Mai 2008.
  14.  M. Switkes, R. R. Kunz, M. Rothschild, R. F. Sinta, M. Yeung, S.-Y. Baek: Extending optics to 50 nm and beyond with immersion lithography. In: Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 21, Nr. 6, 2003, S. 2794, doi:10.1116/1.1624257.
  15.  U. Okoroanyanwu, J. Kye, N. Yamamoto, K. Cummings: Defectivity in water immersion lithography. In: Microlithography World. 14, Nr. 4, 2005, S. 4–7.
  16.  J. Christopher Taylor, Charles R. Chambers, Ryan Deschner, Robert J. LeSuer, Willard E. Conley, Sean D. Burns, C. G. Willson: Implications of immersion lithography on 193-nm photoresists. Santa Clara, CA, USA 2004, S. 34–43, doi:10.1117/12.535875.
  17.  Akyhiro Iwata, Nobuaki Nakashima, Yasukazu Izawa, Chiyoe Yamanaka: One-photon ionization of liquid water upon 193 nm laser irradiation. In: Chemistry Letters. 22, Nr. 11, 1993, S. 1939–1940, doi:10.1246/cl.1993.1939.
  18. Lithographische Systeme. Optiken für 193 nm Immersionslithographie. Carl Zeiss SMT GmbH, abgerufen am 22. Januar 2012.
  19.  Mark Bohr: Intel 32nm Technology. In: Logic Technology Development, 10. Februar 2009. (Vortragsfolien, PDF).
  20. DFM, Design Restrictions Enable Double Patterning. Semiconductor International, 12. Januar 2007.
  21. Mark LaPedus: SPIE: Intel to extend immersion to 11-nm. EETimes, 23. Februar 2010.
  22. Mark LaPedus: IBM sees immersion at 22nm, pushes out EUV. EETimes, 22. Februar 2010.
  23. David Lammers: Intel: EUV Facts Don't Add Up' for 22 nm in 2011. Semiconductor International, 22. Februar 2008.
  24.  U. Okoroanyanwu, J. H. Lammers: Resist Road to the 22nm Technology Node. In: Future Fab International. 17, 2004, S. 17.
  25.  Christian Wagner: Advanced technology for extending optical lithography. In: Proceedings of SPIE. Santa Clara, CA, USA 2000, S. 344–357, doi:10.1117/12.389046.
  26. 26,0 26,1 26,2  M. Switkes, M. Rothschild: Immersion lithography at 157 nm. In: Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 19, Nr. 6, 2001, S. 2353, doi:10.1116/1.1412895.
  27.  Burn J. Lin: Optical Lithography: Here Is Why. SPIE Society of Photo-Optical Instrumentation Engineering, 2009, ISBN 0819475602, S. 369.
Dies ist ein als lesenswert ausgezeichneter Artikel.
Dieser Artikel wurde am 19. Januar 2012 in dieser Version in die Liste der lesenswerten Artikel aufgenommen.

cosmos-indirekt.de: News der letzten Tage